D flip-flop with a positive edge clock and synchronous clock enable

~\Desktop\pracctice.v.html
module dff (clk, d, ce, q);
        input  clk, d, ce;
        output reg q;

        always @(posedge clk)
                if (ce)
                        q <= d;

        endmodule



Get more verilog examples............