D flip-flop with a positive edge clock and synchronous set

~\Desktop\pracctice.v.html
module dff (clk, d, set, q);
        input  clk, d, set;
        output reg q;

        always @(posedge clk)
                if (set)
                        q <= 1’b1;
                else
                        q <= d;

        endmodule



Get more verilog examples............