Verilog code for unsigned 8-bit adder with carry in

~\Desktop\pracctice.v.html
module unsigned_adder(a,b,ci,sum);
        input  [7:0] a;
        input  [7:0] b;
        input       ci;
        output [7:0] sum;

        assign sum = a + b + ci;

        endmodule